Skip to content

Instantly share code, notes, and snippets.

@dummy11
dummy11 / tmux.conf
Created November 16, 2021 06:07 — forked from spicycode/tmux.conf
The best and greatest tmux.conf ever
# 0 is too far from ` ;)
set -g base-index 1
# Automatically set window title
set-window-option -g automatic-rename on
set-option -g set-titles on
#set -g default-terminal screen-256color
set -g status-keys vi
set -g history-limit 10000
import uvm_pkg :: *;
class my_seq_item extends uvm_sequence_item;
rand logic [7:0] addr;
rand logic [7:0] data;
constraint addr_range_cn {
addr inside {[10:20]};
}
constraint data_range_cn {
@dummy11
dummy11 / Makefile
Created May 27, 2021 11:52 — forked from tejainece/Makefile
Passing and receiving different SystemVerilog datatypes with C/C++ using DPI
dt: dt_compile dt_csim
.PHONY: dt dt_compile dt_csim clean
dt_compile:
if [ -d work ]; then vdel -lib work -all; fi;
vlib work
vmap work work
vlog -sv -dpiheader dt.h tb_dt_dpi.sv
g++ -shared -Bsymbolic -I/home/$(whoami)/modelsim/modeltech/include/ -fPIC -o dt.so dt.cc